Electromigration

From Wikipedia, the free encyclopedia
Electromigration (red arrow) is due to the momentum transfer from the electrons moving in a wire

Electromigration is the transport of material caused by the gradual movement of the ions in a conductor due to the momentum transfer between conducting electrons and diffusing metal atoms. The effect is important in applications where high direct current densities are used, such as in microelectronics and related structures. As the structure size in electronics such as integrated circuits (ICs) decreases, the practical significance of this effect increases.

History[edit]

The phenomenon of electromigration has been known for over 100 years, having been discovered by the French scientist Gerardin.[1] The topic first became of practical interest during the late 1960s when packaged ICs first appeared. The earliest commercially available ICs failed in a mere three weeks of use from runaway electromigration, which led to a major industry effort to correct this problem. The first observation of electromigration in thin films was made by I. Blech.[2] Research in this field was pioneered by a number of investigators throughout the fledgling semiconductor industry. One of the most important engineering studies was performed by Jim Black of Motorola, after whom Black's equation is named.[3] At the time, the metal interconnects in ICs were still about 10 micrometres wide. Currently interconnects are only hundreds to tens of nanometers in width, making research in electromigration increasingly important.

Practical implications of electromigration[edit]

Top visualization of electromigration under scanning electron microscope of a nanoconstriction (60 nm width) on silicon oxide substrate.[4]
SEM image of a failure caused by electromigration in a copper interconnect. The passivation has been removed by reactive ion etching and hydrofluoric acid

Electromigration decreases the reliability of integrated circuits (ICs). It can cause the eventual loss of connections or failure of a circuit. Since reliability is critically important for space travel, military purposes, anti-lock braking systems, medical equipment like Automated External Defibrillators and is even important for personal computers or home entertainment systems, the reliability of chips (ICs) is a major focus of research efforts.

Due to difficulty of testing under real conditions, Black's equation is used to predict the life span of integrated circuits. To use Black's equation, the component is put through high temperature operating life (HTOL) testing. The component's expected life span under real conditions is extrapolated from data gathered during the testing.[3]

Although electromigration damage ultimately results in failure of the affected IC, the first symptoms are intermittent glitches, and are quite challenging to diagnose. As some interconnects fail before others, the circuit exhibits seemingly random errors, which may be indistinguishable from other failure mechanisms (such as electrostatic discharge damage). In a laboratory setting, electromigration failure is readily imaged with an electron microscope, as interconnect erosion leaves telltale visual markers on the metal layers of the IC.

With increasing miniaturization, the probability of failure due to electromigration increases in VLSI and ULSI circuits because both the power density and the current density increase.[5] Specifically, line widths will continue to decrease over time, as will wire cross-sectional areas. Currents are also reduced due to lower supply voltages and shrinking gate capacitances.[5] However, as current reduction is constrained by increasing frequencies, the more marked decrease in cross-sectional areas (compared to current reduction) will give rise to increased current densities in ICs going forward.[6]

In advanced semiconductor manufacturing processes, copper has replaced aluminium as the interconnect material of choice. Despite its greater fragility in the fabrication process, copper is preferred for its superior conductivity. It is also intrinsically less susceptible to electromigration. However, electromigration (EM) continues to be an ever-present challenge to device fabrication, and therefore the EM research for copper interconnects is ongoing (though a relatively new field).[6]

In modern consumer electronic devices, ICs rarely fail due to electromigration effects. This is because proper semiconductor design practices incorporate the effects of electromigration into the IC's layout.[6] Nearly all IC design houses use automated EDA tools to check and correct electromigration problems at the transistor layout-level. When operated within the manufacturer's specified temperature and voltage range, a properly designed IC device is more likely to fail from other (environmental) causes, such as cumulative damage from gamma-ray bombardment.

Nevertheless, there have been documented cases of product failures due to electromigration. In the late 1980s, one line of Western Digital's desktop drives suffered widespread, predictable failure 12–18 months after field usage. Using forensic analysis of the returned bad units, engineers identified improper design-rules in a third-party supplier's IC controller. By replacing the bad component with that of a different supplier, WD was able to correct the flaw, but not before significant damage to the company's reputation.

Electromigration can be a cause of degradation in some power semiconductor devices such as low voltage power MOSFETs, in which the lateral current through the source contact metallisation (often aluminium) can reach the critical current densities during overload conditions. The degradation of the aluminium layer causes an increase in on-state resistance, and can eventually lead to complete failure.

Fundamentals[edit]

The material properties of the metal interconnects have a strong influence on the life span. The characteristics are predominantly the composition of the metal alloy and the dimensions of the conductor. The shape of the conductor, the crystallographic orientation of the grains in the metal, procedures for the layer deposition, heat treatment or annealing, characteristics of the passivation and the interface to other materials also affect the durability of the interconnects. There are also important differences with time dependent current: direct current or different alternating current waveforms cause different effects.

Forces on ions in an electrical field[edit]

Two forces affect ionized atoms in a conductor: 1) The direct electrostatic force Fe, as a result of the electric field , which has the same direction as the electric field, and 2) The force from the exchange of momentum with other charge carriers Fp, toward the flow of charge carriers, is in the opposite direction of the electric field. In metallic conductors Fp is caused by a so-called "electron wind" or "ion wind".

The resulting force Fres on an activated ion in the electrical field can be written as

where is the electric charge of the ions, and the valences corresponding to the electrostatic and wind force respectively, the so-called effective valence of the material, the current density, and the resistivity of the material .[7] Electromigration occurs when some of the momentum of a moving electron is transferred to a nearby activated ion. This causes the ion to move from its original position. Over time this force knocks a significant number of atoms far from their original positions. A break or gap can develop in the conducting material, preventing the flow of electricity. In narrow interconnect conductors, such as those linking transistors and other components in integrated circuits, this is known as a void or internal failure (open circuit). Electromigration can also cause the atoms of a conductor to pile up and drift toward other nearby conductors, creating an unintended electrical connection known as a hillock failure or whisker failure (short circuit). Both of these situations can lead to a malfunction of the circuit.

Failure mechanisms[edit]

Diffusion mechanisms[edit]

In a homogeneous crystalline structure, because of the uniform lattice structure of the metal ions, there is hardly any momentum transfer between the conduction electrons and the metal ions. However, this symmetry does not exist at the grain boundaries and material interfaces, and so here momentum is transferred much more vigorously. Since the metal ions in these regions are bonded more weakly than in a regular crystal lattice, once the electron wind has reached a certain strength, atoms become separated from the grain boundaries and are transported in the direction of the current. This direction is also influenced by the grain boundary itself, because atoms tend to move along grain boundaries.

Diffusion processes caused by electromigration can be divided into grain boundary diffusion, bulk diffusion and surface diffusion. In general, grain boundary diffusion is the major electromigration process in aluminum wires, whereas surface diffusion is dominant in copper interconnects.

Thermal effects[edit]

In an ideal conductor, where atoms are arranged in a perfect lattice structure, the electrons moving through it would experience no collisions and electromigration would not occur. In real conductors, defects in the lattice structure and the random thermal vibration of the atoms about their positions causes electrons to collide with the atoms and scatter, which is the source of electrical resistance (at least in metals; see electrical conduction). Normally, the amount of momentum imparted by the relatively low-mass electrons is not enough to permanently displace the atoms. However, in high-power situations (such as with the increasing current draw and decreasing wire sizes in modern VLSI microprocessors), if many electrons bombard the atoms with enough force to become significant, this will accelerate the process of electromigration by causing the atoms of the conductor to vibrate further from their ideal lattice positions, increasing the amount of electron scattering. High current density increases the number of electrons scattering against the atoms of the conductor, and hence the rate at which those atoms are displaced.

In integrated circuits, electromigration does not occur in semiconductors directly, but in the metal interconnects deposited onto them (see semiconductor device fabrication).

Electromigration is exacerbated by high current densities and the Joule heating of the conductor (see electrical resistance), and can lead to eventual failure of electrical components. Localized increase of current density is known as current crowding.

Balance of atom concentration[edit]

A governing equation which describes the atom concentration evolution throughout some interconnect segment, is the conventional mass balance (continuity) equation

where is the atom concentration at the point with a coordinates at the moment of time , and is the total atomic flux at this location. The total atomic flux is a combination of the fluxes caused by the different atom migration forces. The major forces are induced by the electric current, and by the gradients of temperature, mechanical stress and concentration. .

To define the fluxes mentioned above:

.

Here is the electron charge, is the effective charge of the migrating atom, the resistivity of the conductor where atom migration takes place, is the local current density, is the Boltzmann constant, is the absolute temperature. is the time and position dependent atom diffusivity.

.  We use the heat of thermal diffusion.
,

here is the atomic volume and is initial atomic concentration, is the hydrostatic stress and are the components of principal stress.

.

Assuming a vacancy mechanism for atom diffusion we can express as a function of the hydrostatic stress where is the effective activation energy of the thermal diffusion of metal atoms. The vacancy concentration represents availability of empty lattice sites, which might be occupied by a migrating atom.

Electromigration-aware design[edit]

Electromigration reliability of a wire (Black's equation)[edit]

At the end of the 1960s J. R. Black developed an empirical model to estimate the MTTF (mean time to failure) of a wire, taking electromigration into consideration. Since then, the formula has gained popularity in the semiconductor industry:[3][8]

.

Here is a constant based on the cross-sectional area of the interconnect, is the current density, is the activation energy (e.g. 0.7 eV for grain boundary diffusion in aluminum), is the Boltzmann constant, is the temperature in kelvins, and a scaling factor (usually set to 2 according to Black).[3] The temperature of the conductor appears in the exponent, i.e. it strongly affects the MTTF of the interconnect. For an interconnect of a given construction to remain reliable as the temperature rises, the current density within the conductor must be reduced. However, as interconnect technology advances at the nanometer scale, the validity of Black's equation becomes increasingly questionable.

Wire material[edit]

Historically, aluminium has been used as conductor in integrated circuits, due to its good adherence to substrate, good conductivity, and ability to form ohmic contacts with silicon.[5] However, pure aluminium is susceptible to electromigration. Research shows that adding 2-4% of copper to aluminium increases resistance to electromigration about 50 times. The effect is attributed to the grain boundary segregation of copper, which greatly inhibits the diffusion of aluminium atoms across grain boundaries.[9]

Pure copper wires can withstand approximately five times more current density than aluminum wires while maintaining similar reliability requirements.[10] This is mainly due to the higher electromigration activation energy levels of copper, caused by its superior electrical and thermal conductivity as well as its higher melting point. Further improvements can be achieved by alloying copper with about 1% palladium which inhibits diffusion of copper atoms along grain boundaries in the same way as the addition of copper to aluminium interconnect.

Bamboo structure and metal slotting[edit]

A wider wire results in smaller current density and, hence, less likelihood of electromigration. Also, the metal grain size has influence; the smaller grains, the more grain boundaries and the higher likelihood of electromigration effects. However, if you reduce wire width to below the average grain size of the wire material, grain boundaries become "crosswise", more or less perpendicular to the length of the wire. The resulting structure resembles the joints in a stalk of bamboo. With such a structure, the resistance to electromigration increases, despite an increase in current density. This apparent contradiction is caused by the perpendicular position of the grain boundaries; the boundary diffusion factor is excluded, and material transport is correspondingly reduced.[10][11]

However, the maximum wire width possible for a bamboo structure is usually too narrow for signal lines of large-magnitude currents in analog circuits or for power supply lines. In these circumstances, slotted wires are often used, whereby rectangular holes are carved in the wires. Here, the widths of the individual metal structures in between the slots lie within the area of a bamboo structure, while the resulting total width of all the metal structures meets power requirements.[10][11]

Blech length[edit]

There is a lower limit for the length of the interconnect that will allow higher current carrying capability. It is known as "Blech length".[2] Any wire that has a length below this limit will have a stretched limit for electromigration. Here, a mechanical stress buildup causes an atom back flow process which reduces or even compensates the effective material flow towards the anode. The Blech length must be considered when designing test structures to evaluate electromigration. This minimum length is typically some tens of microns for chip traces, and interconnections shorter than this are sometimes referred to as 'electromigration immortal'.

Via arrangements and corner bends[edit]

Particular attention must be paid to vias and contact holes. The current carrying capacity of a via is much less than a metallic wire of same length. Hence multiple vias are often used, whereby the geometry of the via array is very significant: multiple vias must be organized such that the resulting current is distributed as evenly as possible through all the vias.

Attention must also be paid to bends in interconnects. In particular, 90-degree corner bends must be avoided, since the current density in such bends is significantly higher than that in oblique angles (e.g., 135 degrees).[10]

Electromigration in solder joints[edit]

The typical current density at which electromigration occurs in Cu or Al interconnects is 106 to 107 A/cm2. For solder joints (SnPb or SnAgCu lead-free) used in IC chips, however, electromigration occurs at much lower current densities, e.g. 104 A/cm2. It causes a net atom transport along the direction of electron flow. The atoms accumulate at the anode, while voids are generated at the cathode and back stress is induced during electromigration. The typical failure of a solder joint due to electromigration will occur at the cathode side. Due to the current crowding effect, voids form first at the corners of the solder joint. Then the voids extend and join to cause a failure. Electromigration also influences formation of intermetallic compounds, as the migration rates are a function of atomic mass.

Electromigration and technology computer aided design[edit]

The complete mathematical model describing electromigration consists of several partial differential equations (PDEs) [12] which need to be solved for three-dimensional geometrical domains representing segments of an interconnect structure. Such a mathematical model forms the basis for simulation of electromigration in modern technology computer aided design (TCAD) tools.[13] Use of TCAD tools for detailed investigations of electromigration induced interconnect degradation is gaining importance. Results of TCAD studies in combination with reliability tests lead to modification of design rules improving the interconnect resistance to electromigration.[14]

Electromigration due to IR drop noise of the on-chip power grid network/interconnect[edit]

The electromigration degradation of the on-chip power grid network/interconnect depends on the IR drop noise of the power grid interconnect. The electromigration-aware lifetime of the power grid interconnects as well as the chip decreases if the chip suffers from a high value of the IR drop noise.[15]

Machine Learning Model for Electromigration-aware MTTF Prediction[edit]

Recent work demonstrates MTTF prediction using a machine learning model. The work uses a neural network-based supervised learning approach with current density, interconnect length, interconnect temperature as input features to the model.[16][17]

Electromigrated nanogaps[edit]

Electromigrated nanogaps are gaps formed in metallic bridges formed by the process of electromigration. A nanosized contact formed by electromigration acts like a waveguide for electrons. The nanocontact essentially acts like a one-dimensional wire with a conductance of . The current in a wire is the velocity of the electrons multiplied by the charge and number per unit length, or . This gives a conductance of . In nano scale bridges the conductance falls in discrete steps of multiples of the quantum conductance .

Electromigrated Nanogaps have shown great promise as electrodes in use in molecular scale electronics.[18] Researchers have used feedback controlled electromigration to investigate the magnetoresistance of a quantum spin valve.[citation needed]

Reference standards[edit]

See also[edit]

References[edit]

  1. ^ "Preface". 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual. 2005. pp. iii–iv. doi:10.1109/RELPHY.2005.1493049. ISBN 978-0-7803-8803-1.
  2. ^ a b I. Blech: Electromigration in Thin Aluminum Films on Titanium Nitride. Journal of Applied Physics, Vol 47, pp. 1203-1208, April 1976.
  3. ^ a b c d J.R. Black: Electromigration - A Brief Survey and Some Recent Results. IEEE Trans. Electron Devices, Vol. ED-16 (No. 4), pp. 338-347, April 1969.
  4. ^ Lombardo, Joseph; Baumans, Xavier D. A.; Željko, Jelić L.; Scheerder, Jeroen E.; Zharinov, Vyacheslav S.; Kramer, Roman; Van de Vondel, Joris; Silhanek, Alejandro V. (2018-03-07). "Healing effect of controlled anti-electromigration on conventional and high-Tc superconducting nanowires". Small. 13 (26): 1700384. doi:10.1002/smll.201700384. hdl:2268/214980. PMID 28544388.
  5. ^ a b c J. Lienig, M. Thiele (2018). "Introduction". Fundamentals of Electromigration-Aware Integrated Circuit Design. Springer. pp. 1–12. doi:10.1007/978-3-319-73558-0. ISBN 978-3-319-73557-3.
  6. ^ a b c J. Lienig, M. Thiele: "The Pressing Need for Electromigration-Aware Physical Design " (Download paper), Proc. of the Int. Symposium on Physical Design (ISPD) 2018, pp. 144–151, March 2018
  7. ^ Lodder, A.; Dekker, J. P. (1998). "The electromigration force in metallic bulk". AIP Conference Proceedings. 418 (1): 315–328. arXiv:cond-mat/9803172. Bibcode:1998AIPC..418..315L. doi:10.1063/1.54652. S2CID 18376825. Retrieved 2021-01-15.
  8. ^ Wilson, Syd R.; Tracy, Clarence J.; Freeman, John L. (1993). Handbook of multilevel metallization for integrated circuits: materials, technology, and applications. William Andrew. p. 607. ISBN 978-0-8155-1340-7., Page 607, equation 24
  9. ^ M. Braunovic, N. K. Myshkin, V. V. Konchits (2006). Electrical Contacts: Fundamentals, Applications and Technology. CRC Press. ISBN 978-1-5744-47279.{{cite book}}: CS1 maint: multiple names: authors list (link)
  10. ^ a b c d J. Lienig: "Introduction to Electromigration-Aware Physical Design" (Download paper), Proc. of the Int. Symposium on Physical Design (ISPD) 2006, pp. 39–46, April 2006.
  11. ^ a b M. Zamri et al "In Situ TEM Observation of Fe-Included Carbon Nanofiber: Evolution of Structural and Electrical Properties in Field Emission Process", ACS Nano, 2012, 6 (11), pp 9567–9573. [Link http://pubs.acs.org/doi/abs/10.1021/nn302889e]
  12. ^ C. Basaran, M. Lin, and H. Ye : A Thermodynamic Model for Electrical Current Induced Damage. International Journal of Solids and Structures, Vol 40, pp. 7315-7327, 2003.
  13. ^ Ceric, H.; Selberherr, S. (2011). "Electromigration in submicron interconnect features of integrated circuits". Materials Science and Engineering: R: Reports. 71 (5–6): 53–86. doi:10.1016/j.mser.2010.09.001. ISSN 0927-796X.
  14. ^ de Orio, R.L.; Ceric, H.; Selberherr, S. (2012). "Electromigration failure in a copper dual-damascene structure with a through silicon via". Microelectronics Reliability. 52 (9–10): 1981–1986. doi:10.1016/j.microrel.2012.07.021. ISSN 0026-2714. PMC 3608028. PMID 23564974.
  15. ^ Dey, Sukanta; Dash, Satyabrata; Nandi, Sukumar; Trivedi, Gaurav (2018). "PGIREM: Reliability-Constrained IR Drop Minimization and Electromigration Assessment of VLSI Power Grid Networks Using Cooperative Coevolution". 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). pp. 40–45. doi:10.1109/ISVLSI.2018.00018. ISBN 978-1-5386-7099-6. S2CID 51984331.
  16. ^ Dey, Sukanta; Nandi, Sukumar; Trivedi, Gaurav (2020). "Machine Learning Approach for Fast Electromigration Aware Aging Prediction in Incremental Design of Large Scale On-chip Power Grid Network". ACM Transactions on Design Automation of Electronic Systems. 25 (5): 1–29. doi:10.1145/3399677. S2CID 222110488.
  17. ^ Dey, Sukanta; Nandi, Sukumar; Trivedi, Gaurav (2020). "Machine Learning Approach for Fast Electromigration Aware Aging Prediction in Incremental Design of Large Scale On-chip Power Grid Network". ACM Transactions on Design Automation of Electronic Systems. 25 (5): 1–29. doi:10.1145/3399677. S2CID 222110488.
  18. ^ Liang; et al. (2002). "Kondo resonance in a single-molecule transistor". Nature. 417 (6890): 725–9. Bibcode:2002Natur.417..725L. doi:10.1038/nature00790. PMID 12066180. S2CID 4405025.

Further reading[edit]

Books[edit]

External links[edit]